mirror of
https://github.com/VHDL-LS/rust_hdl.git
synced 2025-08-04 02:48:28 +00:00
Add example project
This commit is contained in:
parent
5ea649e9be
commit
0e7af1e06c
5 changed files with 704 additions and 0 deletions
6
example_project/setup.sh
Normal file
6
example_project/setup.sh
Normal file
|
@ -0,0 +1,6 @@
|
|||
# Clone all repositories used for the example projects
|
||||
git clone --depth=1 https://github.com/ghdl/ghdl.git
|
||||
git clone --depth=1 https://github.com/UVVM/UVVM.git
|
||||
git clone --depth=1 https://github.com/VUnit/vunit.git
|
||||
git clone --depth=1 https://github.com/VLSI-EDA/PoC.git
|
||||
git clone --depth=1 https://github.com/OSVVM/OSVVM.git
|
4
example_project/vhdl_libraries/2008/std/env.vhd
Normal file
4
example_project/vhdl_libraries/2008/std/env.vhd
Normal file
|
@ -0,0 +1,4 @@
|
|||
-- Package env as defined by IEEE 1076-2008
|
||||
|
||||
package env is
|
||||
end package;
|
89
example_project/vhdl_libraries/2008/std/standard.vhd
Normal file
89
example_project/vhdl_libraries/2008/std/standard.vhd
Normal file
|
@ -0,0 +1,89 @@
|
|||
-- Package standard as defined by IEEE 1076-2008
|
||||
|
||||
package standard is
|
||||
|
||||
-- Predefined enumeration types:
|
||||
type BOOLEAN is (FALSE, TRUE);
|
||||
type BIT is ('0', '1');
|
||||
|
||||
type CHARACTER is (
|
||||
NUL, SOH, STX, ETX, EOT, ENQ, ACK, BEL,
|
||||
BS, HT, LF, VT, FF, CR, SO, SI,
|
||||
DLE, DC1, DC2, DC3, DC4, NAK, SYN, ETB,
|
||||
CAN, EM, SUB, ESC, FSP, GSP, RSP, USP,
|
||||
|
||||
' ', '!', '"', '#', '$', '%', '&', ''',
|
||||
'(', ')', '*', '+', ',', '-', '.', '/',
|
||||
'0', '1', '2', '3', '4', '5', '6', '7',
|
||||
'8', '9', ':', ';', '<', '=', '>', '?',
|
||||
|
||||
'@', 'A', 'B', 'C', 'D', 'E', 'F', 'G',
|
||||
'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O',
|
||||
'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W',
|
||||
'X', 'Y', 'Z', '[', '\', ']', '^', '_',
|
||||
|
||||
'`', 'a', 'b', 'c', 'd', 'e', 'f', 'g',
|
||||
'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o',
|
||||
'p', 'q', 'r', 's', 't', 'u', 'v', 'w',
|
||||
'x', 'y', 'z', '{', '|', '}', '~', DEL,
|
||||
|
||||
C128, C129, C130, C131, C132, C133, C134, C135,
|
||||
C136, C137, C138, C139, C140, C141, C142, C143,
|
||||
C144, C145, C146, C147, C148, C149, C150, C151,
|
||||
C152, C153, C154, C155, C156, C157, C158, C159,
|
||||
|
||||
' ', '¡', '¢', '£', '¤', '¥', '¦', '§',
|
||||
'¨', '©', 'ª', '«', '¬', '', '®', '¯',
|
||||
'°', '±', '²', '³', '´', 'µ', '¶', '·',
|
||||
'¸', '¹', 'º', '»', '¼', '½', '¾', '¿',
|
||||
'À', 'Á', 'Â', 'Ã', 'Ä', 'Å', 'Æ', 'Ç',
|
||||
'È', 'É', 'Ê', 'Ë', 'Ì', 'Í', 'Î', 'Ï',
|
||||
'Ð', 'Ñ', 'Ò', 'Ó', 'Ô', 'Õ', 'Ö', '×',
|
||||
'Ø', 'Ù', 'Ú', 'Û', 'Ü', 'Ý', 'Þ', 'ß',
|
||||
'à', 'á', 'â', 'ã', 'ä', 'å', 'æ', 'ç',
|
||||
'è', 'é', 'ê', 'ë', 'ì', 'í', 'î', 'ï',
|
||||
'ð', 'ñ', 'ò', 'ó', 'ô', 'õ', 'ö', '÷',
|
||||
'ø', 'ù', 'ú', 'û', 'ü', 'ý', 'þ', 'ÿ');
|
||||
|
||||
type SEVERITY_LEVEL is (NOTE, WARNING, ERROR, FAILURE);
|
||||
type INTEGER is range -2147483647 to 2147483647;
|
||||
type REAL is range -1.7976931348623157e308 to 1.7976931348623157e308;
|
||||
|
||||
type TIME is range -9223372036854775807 to 9223372036854775807
|
||||
units
|
||||
fs; -- femtosecond
|
||||
ps = 1000 fs; -- picosecond
|
||||
ns = 1000 ps; -- nanosecond
|
||||
us = 1000 ns; -- microsecond
|
||||
ms = 1000 us; -- millisecond
|
||||
sec = 1000 ms; -- second
|
||||
min = 60 sec; -- minute
|
||||
hr= 60 min; -- hour
|
||||
end units;
|
||||
|
||||
subtype DELAY_LENGTH is TIME range 0 fs to TIME'HIGH;
|
||||
impure function NOW return DELAY_LENGTH;
|
||||
|
||||
subtype NATURAL is INTEGER range 0 to INTEGER'HIGH;
|
||||
subtype POSITIVE is INTEGER range 1 to INTEGER'HIGH;
|
||||
|
||||
type STRING is array (POSITIVE range <>) of CHARACTER;
|
||||
|
||||
type BOOLEAN_VECTOR is array (NATURAL range <>) of BOOLEAN;
|
||||
type BIT_VECTOR is array (NATURAL range <>) of BIT;
|
||||
type INTEGER_VECTOR is array (NATURAL range <>) of INTEGER;
|
||||
type REAL_VECTOR is array (NATURAL range <>) of REAL;
|
||||
type TIME_VECTOR is array (NATURAL range <>) of TIME;
|
||||
|
||||
type FILE_OPEN_KIND is (READ_MODE,
|
||||
WRITE_MODE,
|
||||
APPEND_MODE);
|
||||
|
||||
type FILE_OPEN_STATUS is (OPEN_OK,
|
||||
STATUS_ERROR,
|
||||
NAME_ERROR,
|
||||
MODE_ERROR);
|
||||
|
||||
attribute FOREIGN: STRING;
|
||||
|
||||
end package;
|
4
example_project/vhdl_libraries/2008/std/textio.vhd
Normal file
4
example_project/vhdl_libraries/2008/std/textio.vhd
Normal file
|
@ -0,0 +1,4 @@
|
|||
-- Package texio as defined by IEEE 1076-2008
|
||||
|
||||
package textio is
|
||||
end package;
|
601
example_project/vhdl_ls.toml
Normal file
601
example_project/vhdl_ls.toml
Normal file
|
@ -0,0 +1,601 @@
|
|||
[libraries]
|
||||
std.files = [
|
||||
'vhdl_libraries/2008/std/standard.vhd',
|
||||
'vhdl_libraries/2008/std/textio.vhd',
|
||||
'vhdl_libraries/2008/std/env.vhd'
|
||||
]
|
||||
|
||||
vunit_lib.files = [
|
||||
'vunit/vunit/vhdl/logging/test/test_support_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/test/tb_deprecated.vhd',
|
||||
'vunit/vunit/vhdl/logging/test/tb_log.vhd',
|
||||
'vunit/vunit/vhdl/logging/test/tb_log_levels.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/log_levels_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/log_handler_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/ansi_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/log_deprecated_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/log_handler_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/log_levels_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/print_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/logger_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/print_pkg.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/logger_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/logging/src/file_pkg.vhd',
|
||||
'vunit/vunit/vhdl/string_ops/test/tb_string_ops.vhd',
|
||||
'vunit/vunit/vhdl/string_ops/src/string_ops.vhd',
|
||||
'vunit/vunit/vhdl/core/src/stop_body_2008.vhd',
|
||||
'vunit/vunit/vhdl/core/src/core_pkg.vhd',
|
||||
'vunit/vunit/vhdl/core/src/stop_pkg.vhd',
|
||||
'vunit/vunit/vhdl/vunit_context.vhd',
|
||||
'vunit/vunit/vhdl/array/test/tb_array.vhd',
|
||||
'vunit/vunit/vhdl/array/src/array_pkg.vhd',
|
||||
'vunit/vunit/vhdl/run/test/tb_watchdog.vhd',
|
||||
'vunit/vunit/vhdl/run/test/tb_run.vhd',
|
||||
'vunit/vunit/vhdl/run/test/run_tests.vhd',
|
||||
'vunit/vunit/vhdl/run/src/run_api.vhd',
|
||||
'vunit/vunit/vhdl/run/src/runner_pkg.vhd',
|
||||
'vunit/vunit/vhdl/run/src/run_deprecated_pkg.vhd',
|
||||
'vunit/vunit/vhdl/run/src/run_types.vhd',
|
||||
'vunit/vunit/vhdl/run/src/run.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_checker.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_next.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_relation_2008.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_stable.vhd',
|
||||
'vunit/vunit/vhdl/check/test/test_support.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_passed.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_failed.vhd',
|
||||
#'vunit/vunit/vhdl/check/test/tb_deprecated.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_implication.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_sequence.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_zero_one_hot.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_equal_real.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_one_hot.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_result.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_not_unknown.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_false.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check_relation.vhd',
|
||||
'vunit/vunit/vhdl/check/test/tb_check.vhd',
|
||||
'vunit/vunit/vhdl/check/src/check_api.vhd',
|
||||
'vunit/vunit/vhdl/check/src/check.vhd',
|
||||
'vunit/vunit/vhdl/check/src/check_deprecated_pkg.vhd',
|
||||
'vunit/vunit/vhdl/check/src/checker_pkg.vhd',
|
||||
'vunit/vunit/vhdl/check/src/checker_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_avalon_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_avalon_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_stream.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_avalon_stream.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_wishbone_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_ram_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_uart.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_memory.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_sync_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/ram_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_stream_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/wishbone_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/wishbone_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/bus2memory.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/uart_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/signal_checker_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/stream_slave_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/sync_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/memory_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_stream_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/vc_context.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/uart_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_slave_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/avalon_sink.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/bus_master_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/stream_master_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_stream_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/sync_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/memory_utils_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/memory_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/uart_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/avalon_source.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/avalon_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_write_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/avalon_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/wishbone_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/avalon_pkg.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_read_slave.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_stream_monitor.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/axi_lite_master.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/verification_components/src/std_logic_checker.vhd',
|
||||
'vunit/vunit/vhdl/vunit_run_context.vhd',
|
||||
'vunit/vunit/vhdl/random/test/tb_random_pkg.vhd',
|
||||
'vunit/vunit/vhdl/random/src/random_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_queue.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_integer_array.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_queue_pool.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_codec.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_dict.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_string_ptr.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_queue-2008.vhd',
|
||||
'vunit/vunit/vhdl/data_types/test/tb_codec-2008.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/string_ptr_pkg-body-200x.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/codec.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/integer_array_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/queue_pool_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/queue_pkg-body.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/dict_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/queue_pkg-2008.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/codec-2008.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/string_ptr_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/queue_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/codec_builder.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-200x.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/integer_array_pkg.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/codec_builder-2008.vhd',
|
||||
'vunit/vunit/vhdl/data_types/src/data_types_context.vhd',
|
||||
'vunit/vunit/vhdl/path/test/tb_path.vhd',
|
||||
'vunit/vunit/vhdl/path/src/path.vhd',
|
||||
'vunit/vunit/vhdl/com/test/constants.vhd',
|
||||
'vunit/vunit/vhdl/com/test/more_constants.vhd',
|
||||
#'vunit/vunit/vhdl/com/test/tb_com_codec.vhd',
|
||||
'vunit/vunit/vhdl/com/test/custom_types.vhd',
|
||||
'vunit/vunit/vhdl/com/test/tb_com_msg_building.vhd',
|
||||
'vunit/vunit/vhdl/com/test/tb_com_deprecated.vhd',
|
||||
'vunit/vunit/vhdl/com/test/tb_com.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_debug_codec_builder.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_string.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_types.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_api.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_deprecated.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_support.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_common.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_messenger.vhd',
|
||||
'vunit/vunit/vhdl/com/src/com_context.vhd',
|
||||
'vunit/vunit/vhdl/dictionary/test/tb_dictionary.vhd',
|
||||
'vunit/vunit/vhdl/dictionary/src/dictionary.vhd',
|
||||
]
|
||||
|
||||
osvvm.files = [
|
||||
'OSVVM/TbUtilPkg.vhd',
|
||||
'OSVVM/OsvvmGlobalPkg.vhd',
|
||||
'OSVVM/RandomBasePkg.vhd',
|
||||
'OSVVM/VendorCovApiPkg.vhd',
|
||||
'OSVVM/OsvvmContext.vhd',
|
||||
'OSVVM/ScoreboardPkg_slv.vhd',
|
||||
'OSVVM/NamePkg.vhd',
|
||||
'OSVVM/RandomPkg.vhd',
|
||||
'OSVVM/ScoreboardPkg_int.vhd',
|
||||
'OSVVM/SortListPkg_int.vhd',
|
||||
'OSVVM/MemoryPkg.vhd',
|
||||
'OSVVM/AlertLogPkg.vhd',
|
||||
'OSVVM/demo/AlertLog_Demo_Hierarchy.vhd',
|
||||
'OSVVM/demo/AlertLog_Demo_Global.vhd',
|
||||
'OSVVM/demo/Demo_Rand.vhd',
|
||||
'OSVVM/TranscriptPkg.vhd',
|
||||
'OSVVM/CoveragePkg.vhd',
|
||||
'OSVVM/MessagePkg.vhd',
|
||||
'OSVVM/ResolutionPkg.vhd',
|
||||
'OSVVM/TextUtilPkg.vhd',
|
||||
'OSVVM/ScoreboardGenericPkg.vhd',
|
||||
]
|
||||
|
||||
ieee.files = [
|
||||
'ghdl/libraries/ieee2008/fixed_generic_pkg.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_std_unsigned.vhdl',
|
||||
'ghdl/libraries/ieee2008/std_logic_textio.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_bit-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_std-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/fixed_float_types.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_bit_unsigned-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_std_unsigned-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/float_generic_pkg.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_bit_unsigned.vhdl',
|
||||
'ghdl/libraries/ieee2008/fixed_generic_pkg-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/math_complex-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/std_logic_1164-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/math_complex.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_std.vhdl',
|
||||
'ghdl/libraries/ieee2008/float_generic_pkg-body.vhdl',
|
||||
'ghdl/libraries/ieee2008/numeric_bit.vhdl',
|
||||
'ghdl/libraries/ieee2008/fixed_pkg.vhdl',
|
||||
'ghdl/libraries/ieee2008/std_logic_1164.vhdl',
|
||||
'ghdl/libraries/ieee2008/ieee_std_context.vhdl',
|
||||
'ghdl/libraries/ieee2008/float_pkg.vhdl',
|
||||
'ghdl/libraries/ieee2008/math_real.vhdl',
|
||||
'ghdl/libraries/ieee2008/ieee_bit_context.vhdl',
|
||||
'ghdl/libraries/ieee2008/math_real-body.vhdl'
|
||||
]
|
||||
|
||||
uvvm_util.files = [
|
||||
'UVVM/uvvm_util/src/types_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/hierarchy_linked_list_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/bfm_common_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/adaptations_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/protected_types_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/license_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/alert_hierarchy_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/methods_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/string_methods_pkg.vhd',
|
||||
'UVVM/uvvm_util/src/uvvm_util_context.vhd',
|
||||
]
|
||||
|
||||
uvvm_vvc_framework.files = [
|
||||
'UVVM/uvvm_vvc_framework/src/ti_uvvm_engine.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src/ti_data_fifo_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src/ti_generic_queue_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src/ti_vvc_framework_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src/ti_data_queue_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src/ti_data_stack_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_uart.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/uart_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/uart_tx_vvc.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/uart_vvc.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/uart_rx_vvc.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_uart/src/vvc_methods_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_axilite.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axilite/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axilite/src/axilite_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axilite/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_axilite/src/vvc_methods_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axilite/src/axilite_vvc.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_i2c.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_i2c/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_i2c/src/i2c_vvc.vhd',
|
||||
'UVVM/bitvis_vip_i2c/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_i2c/src/vvc_methods_pkg.vhd',
|
||||
'UVVM/bitvis_vip_i2c/src/i2c_bfm_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_clock_generator.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_clock_generator/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_clock_generator/src/clock_generator_vvc.vhd',
|
||||
'UVVM/bitvis_vip_clock_generator/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_clock_generator/src/vvc_methods_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_scoreboard.files = [
|
||||
'UVVM/bitvis_vip_scoreboard/demo/sb_uart_sbi_demo_tb.vhd',
|
||||
'UVVM/bitvis_vip_scoreboard/src/predefined_sb.vhd',
|
||||
'UVVM/bitvis_vip_scoreboard/src/generic_sb_pkg.vhd',
|
||||
'UVVM/bitvis_vip_scoreboard/src/generic_sb_support_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_spi.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_spi/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_spi/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_spi/src/spi_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_spi/src/vvc_methods_pkg.vhd',
|
||||
'UVVM/bitvis_vip_spi/src/spi_vvc.vhd',
|
||||
]
|
||||
|
||||
bitvis_uart.files = [
|
||||
'UVVM/bitvis_uart/tb/uart_vvc_tb.vhd',
|
||||
'UVVM/bitvis_uart/tb/uart_vvc_th.vhd',
|
||||
'UVVM/bitvis_uart/src/uart.vhd',
|
||||
'UVVM/bitvis_uart/src/uart_pif_pkg.vhd',
|
||||
'UVVM/bitvis_uart/src/uart_pkg.vhd',
|
||||
'UVVM/bitvis_uart/src/uart_core.vhd',
|
||||
'UVVM/bitvis_uart/src/uart_pif.vhd',
|
||||
]
|
||||
|
||||
bitvis_irqc.files = [
|
||||
'UVVM/bitvis_irqc/tb/irqc_tb.vhd',
|
||||
'UVVM/bitvis_irqc/src/irqc_core.vhd',
|
||||
'UVVM/bitvis_irqc/src/irqc_pif_pkg.vhd',
|
||||
'UVVM/bitvis_irqc/src/irqc_pif.vhd',
|
||||
'UVVM/bitvis_irqc/src/irqc.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_axistream.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axistream/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axistream/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_axistream/src/vvc_methods_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axistream/src/axistream_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_axistream/src/axistream_vvc.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_avalon_mm.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_avalon_mm/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_avalon_mm/src/avalon_mm_vvc.vhd',
|
||||
'UVVM/bitvis_vip_avalon_mm/src/avalon_mm_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_avalon_mm/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_avalon_mm/src/vvc_methods_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_sbi.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_sbi/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_sbi/src/sbi_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_sbi/src/sbi_vvc.vhd',
|
||||
'UVVM/bitvis_vip_sbi/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_sbi/src/vvc_methods_pkg.vhd',
|
||||
]
|
||||
|
||||
bitvis_vip_gpio.files = [
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_entity_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd',
|
||||
'UVVM/uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd',
|
||||
'UVVM/bitvis_vip_gpio/tb/gpio_vip_tb.vhd',
|
||||
'UVVM/bitvis_vip_gpio/src/vvc_cmd_pkg.vhd',
|
||||
'UVVM/bitvis_vip_gpio/src/gpio_vvc.vhd',
|
||||
'UVVM/bitvis_vip_gpio/src/gpio_bfm_pkg.vhd',
|
||||
'UVVM/bitvis_vip_gpio/src/vvc_context.vhd',
|
||||
'UVVM/bitvis_vip_gpio/src/vvc_methods_pkg.vhd',
|
||||
]
|
||||
|
||||
|
||||
PoC.files = [
|
||||
'PoC/src/sim/sim_global.v08.vhdl',
|
||||
#'PoC/src/sim/sim_random.v93.vhdl',
|
||||
'PoC/src/sim/sim_unprotected.v93.vhdl',
|
||||
'PoC/src/sim/sim_random.v08.vhdl',
|
||||
'PoC/src/sim/sim_types.vhdl',
|
||||
#'PoC/src/sim/sim_global.v93.vhdl',
|
||||
'PoC/src/sim/sim_VCDParser.vhdl',
|
||||
'PoC/src/sim/sim_protected.v08.vhdl',
|
||||
#'PoC/src/sim/sim_simulation.v93.vhdl',
|
||||
'PoC/src/sim/sim_simulation.v08.vhdl',
|
||||
'PoC/src/sim/sim_waveform.vhdl',
|
||||
'PoC/src/io/io_TimingCounter.vhdl',
|
||||
'PoC/src/io/io_7SegmentMux_BCD.vhdl',
|
||||
'PoC/src/io/io_FrequencyCounter.vhdl',
|
||||
'PoC/src/io/iic/iic.pkg.vhdl',
|
||||
'PoC/src/io/io_FanControl.vhdl',
|
||||
'PoC/src/io/io_KeyPadScanner.vhdl',
|
||||
'PoC/src/io/uart/uart.pkg.vhdl',
|
||||
'PoC/src/io/uart/uart_tx.vhdl',
|
||||
'PoC/src/io/uart/uart_rx.vhdl',
|
||||
'PoC/src/io/uart/uart_ft245.vhdl',
|
||||
'PoC/src/io/uart/uart_fifo.vhdl',
|
||||
'PoC/src/io/uart/uart_bclk.vhdl',
|
||||
'PoC/src/io/io_7SegmentMux_HEX.vhdl',
|
||||
'PoC/src/io/io_PulseWidthModulation.vhdl',
|
||||
'PoC/src/io/pmod/pmod.pkg.vhdl',
|
||||
'PoC/src/io/pmod/pmod_USBUART.vhdl',
|
||||
'PoC/src/io/pmod/pmod_SSD.vhdl',
|
||||
'PoC/src/io/pmod/pmod_KYPD.vhdl',
|
||||
'PoC/src/io/io_Debounce.vhdl',
|
||||
'PoC/src/io/io_GlitchFilter.vhdl',
|
||||
'PoC/src/io/io.pkg.vhdl',
|
||||
#'PoC/src/io/ddrio/ddrio_inout_xilinx.vhdl',
|
||||
'PoC/src/io/ddrio/ddrio_inout.vhdl',
|
||||
#'PoC/src/io/ddrio/ddrio_inout_altera.vhdl',
|
||||
'PoC/src/io/ddrio/ddrio_in.vhdl',
|
||||
'PoC/src/io/ddrio/ddrio.pkg.vhdl',
|
||||
#'PoC/src/io/ddrio/ddrio_out_altera.vhdl',
|
||||
#'PoC/src/io/ddrio/ddrio_in_altera.vhdl',
|
||||
#'PoC/src/io/ddrio/ddrio_out_xilinx.vhdl',
|
||||
#'PoC/src/io/ddrio/ddrio_in_xilinx.vhdl',
|
||||
'PoC/src/io/ddrio/ddrio_out.vhdl',
|
||||
'PoC/src/cache/cache_par2.vhdl',
|
||||
'PoC/src/cache/cache.pkg.vhdl',
|
||||
'PoC/src/cache/cache_tagunit_seq.vhdl',
|
||||
'PoC/src/cache/cache_replacement_policy.vhdl',
|
||||
'PoC/src/cache/cache_par.vhdl',
|
||||
'PoC/src/cache/cache_cpu.vhdl',
|
||||
'PoC/src/cache/cache_tagunit_par.vhdl',
|
||||
'PoC/src/cache/cache_mem.vhdl',
|
||||
'PoC/src/arith/arith_sqrt.vhdl',
|
||||
'PoC/src/arith/arith_same.vhdl',
|
||||
'PoC/src/arith/arith_scaler.vhdl',
|
||||
'PoC/src/arith/arith_cca.vhdl',
|
||||
'PoC/src/arith/arith_counter_free.vhdl',
|
||||
'PoC/src/arith/arith_firstone.vhdl',
|
||||
#'PoC/src/arith/xilinx/arith_addw_xilinx.vhdl',
|
||||
#'PoC/src/arith/xilinx/arith_cca_xilinx.vhdl',
|
||||
#'PoC/src/arith/xilinx/arith_prefix_and_xilinx.vhdl',
|
||||
#'PoC/src/arith/xilinx/arith_prefix_or_xilinx.vhdl',
|
||||
#'PoC/src/arith/xilinx/arith_carrychain_inc_xilinx.vhdl',
|
||||
#'PoC/src/arith/xilinx/arith_inc_ovcy_xilinx.vhdl',
|
||||
'PoC/src/arith/arith_prefix_or.vhdl',
|
||||
'PoC/src/arith/arith_carrychain_inc.vhdl',
|
||||
'PoC/src/arith/arith_addw.vhdl',
|
||||
'PoC/src/arith/arith_prng.vhdl',
|
||||
'PoC/src/arith/arith_prefix_and.vhdl',
|
||||
'PoC/src/arith/arith_convert_bin2bcd.vhdl',
|
||||
'PoC/src/arith/arith_trng.vhdl',
|
||||
'PoC/src/arith/arith_shifter_barrel.vhdl',
|
||||
'PoC/src/arith/arith_counter_bcd.vhdl',
|
||||
'PoC/src/arith/arith.pkg.vhdl',
|
||||
'PoC/src/arith/arith_counter_gray.vhdl',
|
||||
'PoC/src/arith/arith_counter_ring.vhdl',
|
||||
'PoC/src/arith/arith_div.vhdl',
|
||||
'PoC/src/net/arp/arp_Wrapper.vhdl',
|
||||
'PoC/src/net/arp/arp_UniCast_Responder.vhdl',
|
||||
'PoC/src/net/arp/arp_BroadCast_Receiver.vhdl',
|
||||
'PoC/src/net/arp/arp_Cache.vhdl',
|
||||
'PoC/src/net/arp/arp_UniCast_Receiver.vhdl',
|
||||
'PoC/src/net/arp/arp_IPPool.vhdl',
|
||||
'PoC/src/net/arp/arp_BroadCast_Requester.vhdl',
|
||||
'PoC/src/net/udp/udp_TX.vhdl',
|
||||
'PoC/src/net/udp/udp_FrameLoopback.vhdl',
|
||||
'PoC/src/net/udp/udp_Wrapper.vhdl',
|
||||
'PoC/src/net/udp/udp_RX.vhdl',
|
||||
'PoC/src/net/ipv4/ipv4_RX.vhdl',
|
||||
'PoC/src/net/ipv4/ipv4_Wrapper.vhdl',
|
||||
'PoC/src/net/ipv4/ipv4_FrameLoopback.vhdl',
|
||||
'PoC/src/net/ipv4/ipv4_TX.vhdl',
|
||||
'PoC/src/net/mac/mac_TX_DestMAC_Prepender.vhdl',
|
||||
'PoC/src/net/mac/mac_FrameLoopback.vhdl',
|
||||
'PoC/src/net/mac/mac_TX_SrcMAC_Prepender.vhdl',
|
||||
'PoC/src/net/mac/mac_RX_Type_Switch.vhdl',
|
||||
'PoC/src/net/mac/mac_RX_DestMAC_Switch.vhdl',
|
||||
'PoC/src/net/mac/mac_RX_SrcMAC_Filter.vhdl',
|
||||
'PoC/src/net/mac/mac_Wrapper.vhdl',
|
||||
'PoC/src/net/icmpv4/icmpv4_TX.vhdl',
|
||||
'PoC/src/net/icmpv4/icmpv4_RX.vhdl',
|
||||
'PoC/src/net/icmpv4/icmpv4_Wrapper.vhdl',
|
||||
'PoC/src/net/net_FrameChecksum.vhdl',
|
||||
'PoC/src/net/ipv6/ipv6_Wrapper.vhdl',
|
||||
'PoC/src/net/ipv6/ipv6_FrameLoopback.vhdl',
|
||||
'PoC/src/net/ipv6/ipv6_TX.vhdl',
|
||||
'PoC/src/net/ipv6/ipv6_RX.vhdl',
|
||||
'PoC/src/net/net.pkg.vhdl',
|
||||
'PoC/src/net/net_FrameLoopback.vhdl',
|
||||
'PoC/src/bus/stream/stream_Source.vhdl',
|
||||
'PoC/src/bus/stream/stream_DeMux.vhdl',
|
||||
'PoC/src/bus/stream/stream_FrameGenerator.vhdl',
|
||||
'PoC/src/bus/stream/stream_Mirror.vhdl',
|
||||
'PoC/src/bus/stream/stream_Mux.vhdl',
|
||||
'PoC/src/bus/stream/stream.pkg.vhdl',
|
||||
'PoC/src/bus/stream/stream_Buffer.vhdl',
|
||||
'PoC/src/bus/bus_Arbiter.vhdl',
|
||||
'PoC/src/comm/comm.pkg.vhdl',
|
||||
'PoC/src/comm/comm_scramble.vhdl',
|
||||
#'PoC/src/comm/remote/remote_terminal_control.vhdl',
|
||||
'PoC/src/comm/comm_crc.vhdl',
|
||||
'PoC/src/common/my_project.vhdl.template',
|
||||
'PoC/src/common/common.vhdl',
|
||||
'PoC/src/common/protected.v08.vhdl',
|
||||
'PoC/src/common/my_config.vhdl.template',
|
||||
#'PoC/src/common/fileio.v93.vhdl',
|
||||
'PoC/src/common/fileio.v08.vhdl',
|
||||
'PoC/src/common/physical.vhdl',
|
||||
'PoC/src/common/config.vhdl',
|
||||
'PoC/src/common/vectors.vhdl',
|
||||
'PoC/src/common/math.vhdl',
|
||||
'PoC/src/common/strings.vhdl',
|
||||
'PoC/src/common/debug.vhdl',
|
||||
'PoC/src/common/components.vhdl',
|
||||
'PoC/src/common/utils.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_MergeSort_Streamed.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet.pkg.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_Transform.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_Stream_Adapter2.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_OddEvenMergeSort.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_OddEvenSort.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_BitonicSort.vhdl',
|
||||
'PoC/src/sort/sortnet/sortnet_Stream_Adapter.vhdl',
|
||||
'PoC/src/sort/sort_lru_cache.vhdl',
|
||||
'PoC/src/sort/sort_lru_list.vhdl',
|
||||
'PoC/src/fifo/fifo_ic_got.vhdl',
|
||||
'PoC/src/fifo/fifo_ic_assembly.vhdl',
|
||||
'PoC/src/fifo/fifo_cc_got_tempput.vhdl',
|
||||
'PoC/src/fifo/fifo_glue.vhdl',
|
||||
'PoC/src/fifo/fifo_cc_got_tempgot.vhdl',
|
||||
'PoC/src/fifo/fifo_shift.vhdl',
|
||||
'PoC/src/fifo/fifo.pkg.vhdl',
|
||||
'PoC/src/fifo/fifo_cc_got.vhdl',
|
||||
'PoC/src/xil/xil.pkg.vhdl',
|
||||
#'PoC/src/xil/xil_ICAP.vhdl',
|
||||
#'PoC/src/xil/reconfig/reconfig_icap_wrapper.vhdl',
|
||||
'PoC/src/xil/reconfig/reconfig_icap_fsm.vhdl',
|
||||
'PoC/src/xil/xil_ChipScopeICON.vhdl',
|
||||
'PoC/src/xil/xil_Reconfigurator.vhdl',
|
||||
#'PoC/src/xil/xil_BSCAN.vhdl',
|
||||
#'PoC/src/xil/xil_SystemMonitor.vhdl',
|
||||
#'PoC/src/mem/sdram/sdram_ctrl_phy_de0.vhdl',
|
||||
#'PoC/src/mem/sdram/sdram_ctrl_phy_s3esk.vhdl',
|
||||
'PoC/src/mem/sdram/sdram_ctrl_de0.vhdl',
|
||||
'PoC/src/mem/sdram/sdram_ctrl_s3esk.vhdl',
|
||||
'PoC/src/mem/sdram/sdram_ctrl_fsm.vhdl',
|
||||
'PoC/src/mem/ddr2/ddr2_mem2mig_adapter_Spartan6.vhdl',
|
||||
'PoC/src/mem/mem.pkg.vhdl',
|
||||
'PoC/src/mem/ocram/ocram.pkg.vhdl',
|
||||
#'PoC/src/mem/ocram/altera/ocram_tdp_altera.vhdl',
|
||||
#'PoC/src/mem/ocram/altera/ocram_sp_altera.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_tdp.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_sdp.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_sp.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_tdp_wf.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_sdp_wf.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_tdp_sim.vhdl',
|
||||
'PoC/src/mem/ocram/ocram_esdp.vhdl',
|
||||
'PoC/src/mem/ddr3/ddr3_mem2mig_adapter_Series7.vhdl',
|
||||
'PoC/src/mem/lut/lut_Sine.vhdl',
|
||||
'PoC/src/mem/ocrom/ocrom_dp.vhdl',
|
||||
'PoC/src/mem/ocrom/ocrom_sp.vhdl',
|
||||
'PoC/src/mem/ocrom/ocrom.pkg.vhdl',
|
||||
'PoC/src/misc/stat/stat_Maximum.vhdl',
|
||||
'PoC/src/misc/stat/stat_Histogram.vhdl',
|
||||
'PoC/src/misc/stat/stat_Minimum.vhdl',
|
||||
'PoC/src/misc/stat/stat_Average.vhdl',
|
||||
'PoC/src/misc/filter/filter_mean.vhdl',
|
||||
'PoC/src/misc/filter/filter_and.vhdl',
|
||||
'PoC/src/misc/filter/filter_or.vhdl',
|
||||
'PoC/src/misc/gearbox/gearbox_down_dc.vhdl',
|
||||
'PoC/src/misc/gearbox/gearbox_down_cc.vhdl',
|
||||
'PoC/src/misc/gearbox/gearbox_up_cc.vhdl',
|
||||
'PoC/src/misc/gearbox/gearbox_up_dc.vhdl',
|
||||
'PoC/src/misc/sync/sync_Vector.vhdl',
|
||||
#'PoC/src/misc/sync/sync_Reset_Xilinx.vhdl',
|
||||
#'PoC/src/misc/sync/sync_Bits_Altera.vhdl',
|
||||
#'PoC/src/misc/sync/sync_Pulse_Xilinx.vhdl',
|
||||
'PoC/src/misc/sync/sync_Reset.vhdl',
|
||||
'PoC/src/misc/sync/sync_Strobe.vhdl',
|
||||
'PoC/src/misc/sync/sync_Bits.vhdl',
|
||||
#'PoC/src/misc/sync/sync_Reset_Altera.vhdl',
|
||||
#'PoC/src/misc/sync/sync_Bits_Xilinx.vhdl',
|
||||
'PoC/src/misc/sync/sync.pkg.vhdl',
|
||||
'PoC/src/misc/sync/sync_Command.vhdl',
|
||||
'PoC/src/misc/sync/sync_Pulse_Altera.vhdl',
|
||||
'PoC/src/misc/sync/sync_Pulse.vhdl',
|
||||
'PoC/src/misc/misc_FrequencyMeasurement.vhdl',
|
||||
'PoC/src/misc/misc_bit_lz.vhdl',
|
||||
'PoC/src/misc/misc_Delay.vhdl',
|
||||
'PoC/src/dstruct/dstruct_stack.vhdl',
|
||||
'PoC/src/dstruct/dstruct_deque.vhdl',
|
||||
'PoC/src/dstruct/dstruct.pkg.vhdl',
|
||||
]
|
Loading…
Add table
Add a link
Reference in a new issue